欢迎登录材料期刊网

材料期刊网

高级检索

参考文献

[1] Alam M A;Mahapatra S.A comprehensive model of PMOS NBTI degradation[J].Microelectronics Reliability,200545(01):71.
[2] Raychowdhury A;Geuskens B;Kulkarni J.PVT-and-aging adaptive wordline boosting for 8T SRAM power reduction[A].,2010:351.
[3] Mostafa H;Anis M;Elmasry M.Adaptive body bias for reducing the impacts of NBTI and process variations on 6T SRAM cells[J].IEEE Trans Circuits Syst Ⅰ:Regular Papers,201158(12):2859.
[4] Cannon E H;Osowski A K;Kanj R.The impact of aging effects and manufacturing variation on SRAM soft-error rate[J].IEEE Trans Device Mater Reliab,20088(01):145.
[5] Wooters S N;Cabe A C;Qi Z.Tracking on-chip age using distributed,embedded sensors[J].IEEE Trans Very Large Scale Integration (VLSI) Syst,201220(11):1974.
[6] Kim T H;Persaud R;Kim C H.Silicon odometer:an on-chip reliability monitor for measuring frequency degradation of digital circuits[J].IEEE JOURNAL OF SOLID-STATE CIRCUITS,200843(04):874.
[7] Keane J;Wang X;Persaud D.An all-in-one silicon odometer for separately monitoring HCI,BTI,and TDDB[J].IEEE Journal of Solid-State Circuits,201045(04):817.
[8] Keane J;Venkatraman S;Butzen P.An array-based test circuit for fully automated gate dielectric breakdown characterization[J].IEEE Trans Very Large Scale Integration (VLSI) Syst,201119(05):787.
[9] Jin S;Han Y;Li H.Unified capture scheme for small delay defect detection and aging prediction[J].IEEE Trans Very Large Scale Integration (VLSI) Syst,201321(05):821.
[10] Jin S;Han Y.Aging effects of nanoscale digital integrated circuits:analysis,forecasting and optimization[M].Beijing:Tsinghua University Press,2012
[11] Wang X;Jain P;Jiao D.Impact of interconnect length on BTI and HCI induced frequency degradation[A].,2012:15.
[12] [OL].http://ptm.asu.edu/reliability.html
[13] Alam M A;Mahapatra S.Digital integrated circuits:a design perspective[M].Beijing:Publishing House of Electronics Industry,2008
[14] Wang P;Zhang Y;Han J.Architecture and physical implementation ofreconfigurable multi-port physical unclonable functions in 65nm CMOS IEICE Trans Fundamentals of Electronics[J].Communications and Computer Sciences,2013E96-A(05):963.
[15] Li Sen;Jiang Jinguang;Zhou Xifeng.A low phase noise and low spur PLL frequency synthesizer for GNSS receivers[J].Journal of Semiconductors,201435(01):015004.
[16] James W T;James T K;Siva G N.Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage[A].,2002:344.
[17] Kim K K;Wang W;Choi K.On-chip aging sensor circuits for reliable nanometer MOSFET digital circuits[J].IEEE Trans Circuits Syst Ⅱ:Express Briefs,201057(10):798.
[18] Kang K;Sang P P;Kim K J.On-chip variability sensor using phase-locked loop for detecting and correcting parametric timing failures[J].IEEE Trans Very Large Scale Integration (VLSI) Syst,201018(02):270.
上一张 下一张
上一张 下一张
计量
  • 下载量()
  • 访问量()
文章评分
  • 您的评分:
  • 1
    0%
  • 2
    0%
  • 3
    0%
  • 4
    0%
  • 5
    0%