欢迎登录材料期刊网

材料期刊网

高级检索

基于硅基波导、十字状波导交叉和基于波导微环的光交换器件的损耗特性,对Torus结构的芯片上光互连网络建立了损耗模型,利用该模型来对芯片上光互连网络进行光器件级、光路由器级和网络级的损耗特性分析,同时建立芯片上光互连网络损耗自动分析系统.依据该系统可以得到不同网络规模下的最大损耗,并分别分析了基于Crossbar、Cygnus和Crux路由器的torus结构网络的损耗特性.传输损耗随着网络规模的扩展而增加,最小的传输损耗出现在M=N时.同时,可以得到采用Crux路由器构成的芯片上光互连网络的传输损耗最小,小于Cygnus构成的芯片上光互连网络约5 dB.

参考文献

[1] Shacham A,et al.Photonic networks-on-chip for future generations of chip multi-processors[J].IEEE Transactions of Computers,2008,57(9):1246-1260.
[2] Wonfor A,et al.Large port count high-speed optical switch fabric for use within datacenters[J].Opt.Commun.Netw.,2011,3(8):A32-A39.
[3] Taubenblat M A.Optical interconnects for high-performance computing[J].J.of Lightwave Technol.,2012,30(4):448-458.
[4] Small B A,et al.Multiple-wavelength integrated photonic networks based on microring resonator devices[J].J.Opt.Netw.,2007,6(2):112-120.
[5] Vlasov Y,et al.High-throughput silicon nanophotonic wavelength-insensitive switch for on-chip optical networks[J].Nature Photonics,2008,2:242-246.
[6] Xu D X,et al.Real-time cancellation of temperature induced resonance shifts in SOI wire waveguide ring resonator label-free biosensor arrays[J].Opt.Expr.,2010,18(22):22867-22879.
[7] Tao S H,et al.Ultra-high order ring resonator system with sharp transmission peaks[J].Opt.Expr.,2010,18(2):393-400.
[8] Sherwood-DroZ N,et al.Optical 4×4 hitless silicon router for optical networks-on-chip(NoC)[J].Opt.Expr.,2007,16(20):15915-15922.
[9] Bogaerts W,et al.Low-loss,low-cross-talk crossings for silicon-on-insulator nanophotonic waveguides[J].Opt.Lett.,2007,32(19):2801-2803.
[10] Chen H,Poon A W.Low loss multimode interference based crossings for silicon wire waveguides[J].IEEE Photon.Technol.Lett.,2006,18(21):2260-2262.
[11] Zhang Y,et al.A CMOS-compatible,low-loss,and low-crosstalk silicon waveguide crossing[J].Photon.Technol.Lett.,2013,25(5):422-424.
[12] Pooh A W,et al.Cascaded active silicon microresonator array cross-connect circuits for WDM networks-on-chip[J].Proc.SPIE,2008, 6898(1):689812.
[13] Xiao S,et al.Multiple-channel silicon micro-resonator based filters for WDM applications[J].Opt.Expr.,2007,15:7489-7498.
[14] Xie Y,et al.Formal worst-case analysis of crosstalk noise in mesh-based optical networks-on-chip[J].IEEE Trans.VLSI Syst.,2013,21(10):1823-1836.
[15] Bogaerts W,et al.Low-loss,low-crosstalk crossings for silicon-on-insulator nanophotonic waveguides[J].Opt.Lett.,2007,32(19):2801-2803.
[16] Xia F,et al.Ultra-compact high order ring resonator filters using submicron silicon photonic wires for on-chip optical interconnects[J].Opt.Expr.,2007,15(19):11934-11941.
[17] Xiao S,et al.A highly compact third-order silicon microring add-drop filter with a very large free spectral range,a flat passband and a low delay dispersion[J].Opt.Expr.,2007,15(22):14765-14771.
[18] Li J,et al.Crosstalk-free design for the intersection of two dielectric waveguides[J].Opt.Expr.,2009,17(9):7717-7724.
上一张 下一张
上一张 下一张
计量
  • 下载量()
  • 访问量()
文章评分
  • 您的评分:
  • 1
    0%
  • 2
    0%
  • 3
    0%
  • 4
    0%
  • 5
    0%